Auto State Encoding - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

When FSM_ENCODING is set to "auto", the Vivado synthesis attempts to select the best-suited encoding method for a given FSM.