Block Comments - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

In VHDL, comments “--“ were required for each line that had a comment. In VHDL-2008, there is support for blocks of comments using the /* and */ lines.

process(clk) begin

   if clk’event and clk=’1’ then

   /* this

   is

   a block

   comment */

   out1 <= in1;

   end if;

end process;