Clock Event Statements - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Describe the clock event statement as:

Rising edge clock:

if rising_edge (clk) then

Falling edge clock:

if falling_edge (clk) then