DIRECT_RESET Verilog Example - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

(* direct_reset = "yes" *) input rst3;