Elements of Component Instantiation Statement - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado synthesis supports unconstrained vectors in component declarations.

The main elements of a component instantiation statement are:

Label: Identifies the instance.

Association list: Introduced by the reserved port map keyword and ties formal ports of the component to actual signals or ports of the parent design unit. An optional association list is introduced by the reserved generic map keyword and provides actual values to formal generics defined in the component.