FSM_SAFE_STATE Example (VHDL) - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

type count_state is (zero, one, two, three, four, five, six, seven);

   signal my_state : count_state;

   attribute fsm_safe_state : string;

   attribute fsm_safe_state of my_state : signal is "power_on_state";