Flip-Flops and Registers Initialization - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

To initialize the content of a Register at circuit power-up, specify a default value for the signal during declaration.