IOB - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

The IOB attribute controls if a register should go into the I/O buffer. The values are TRUE or FALSE. Place this attribute on the register that you want in the I/O buffer. This attribute can be set only in the RTL.