Initializing Registers Example One (VHDL) - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

This coding example specifies a power-up value in which the sequential element is initialized when the circuit goes live and the circuit global reset is applied.

signal arb_onebit   : std_logic := '0';

signal arb_priority : std_logic_vector(3 downto 0) := "1011";