RECOMMENDED Coding Example WITHOUT Buffer Port Mode - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

entity alu is

port(

   CLK : in STD_LOGIC;

   A : in STD_LOGIC_VECTOR(3 downto 0);

   B : in STD_LOGIC_VECTOR(3 downto 0);

   C : out STD_LOGIC_VECTOR(3 downto 0));

end alu;

architecture behavioral of alu is

-- dummy signal

   signal C_INT : STD_LOGIC_VECTOR(3 downto 0);

begin

   C <= C_INT;

   process begin

      if rising_edge(CLK) then

         C_INT <= A and B and C_INT;

      end if;

   end process;

end behavioral;