Sequential Process Using a Wait Statement Coding Example (VHDL) - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

process begin

   wait until rising_edge(clk);

   q <= d;

end process;