Setting Synthesis Inputs - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado synthesis allows two input types: RTL source code and timing constraints. To add RTL or constraint files to the run:

1.From the File menu or the Flow Navigator, select the Add Sources command to open the Add Sources wizard, shown in the following figure.

Figure 1-4:      Add Sources Wizard

X-Ref Target - Figure 1-4

updated_figure_1_4.PNG

 

2.Select an option corresponding to the files to add, and click Next.

The following figure shows the Add or Create Design Sources page that is displayed if Add or create design sources is selected.

Figure 1-5:      Add or Create Sources Dialog Box

X-Ref Target - Figure 1-5

20171-Add-Create-Design-Sources.png

3.Add constraint, RTL, or other project files, then click Finish.

See this link to the Vivado Design Suite User Guide: System-Level Design Entry (UG895) [Ref 8] for more information about creating RTL source projects.

The Vivado synthesis tool reads the subset of files that can be synthesized in VHDL, Verilog, SystemVerilog, or mixed language options supported in the Xilinx tools.

The following chapters provide details on supported HDL constructs.

HDL Coding Techniques

VHDL Support

VHDL-2008 Language Support

Verilog Language Support

SystemVerilog Support

Mixed Language Support

Vivado synthesis also supports several RTL attributes that control synthesis behavior. Synthesis Attributes, describes these attributes. For timing constraints, Vivado synthesis uses the XDC file.

Using Block Synthesis Strategies describes the available Block Synthesis Strategies.

 

 

IMPORTANT:   Vivado Design Suite does not support the UCF format. See this link in the ISE to Vivado Design Suite Migration Guide (UG911) [Ref 18] for the UCF to XDC conversion procedure.