Setting up Vivado to use VHDL-2008 - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

There are several ways to run VHDL-2008 files with Vivado. You can go to the Source File Properties window, and set Type: VHDL 2008 from the drop-down of available file types. The Vivado tool then sets that the file type to VHDL-2008.

You can also set files to VHDL-2008 with the set_property command in the Tcl Console. The syntax is as follows:

set_property FILE_TYPE {VHDL 2008} [get_files <file>.vhd]

Finally, in the Non-Project or Tcl flow, the command for reading in VHDL has VHDL-2008 is as follows:

read_vhdl -vhdl2008 <file>.vhd

If you want to read in more than one file, you can either use multiple read_vhdl commands or multiple files with one command, as follows:

read_vhdl -vhdl2008 {a.vhd b.vhd c.vhd}