Signed Expressions - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado synthesis supports both signed and unsigned operations. Signals can be declared as unsigned or signed. For example:

logic [5:0] reg1;

logic signed [5:0] reg2;