Supported Generate Statements - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado synthesis supports all Behavioral Verilog generate statements:

generate-loop (generate-for)

generate-conditional (generate-if-else)

generate-case (generate-case)