Tristate Description Using Combinatorial Process Implemented with OBUFT Coding Example (VHDL) - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Filename: tristates_1.vhd

 

-- Tristate Description Using Combinatorial Process

-- Implemented with an OBUFT (IO buffer)

-- File: tristates_1.vhd

--

library ieee;

use ieee.std_logic_1164.all;

 

entity tristates_1 is

port(

 T : in  std_logic;

 I : in  std_logic;

 O : out std_logic

);

end tristates_1;

 

architecture archi of tristates_1 is

begin

process(I, T)

begin

  if (T = '0') then

  O <= I;

 else

   O <= 'Z';

 end if;

end process;

 

end archi;