Tristate Implementation - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Inferred Tristate buffers are implemented with different device primitives when driving the following:

An external pin of the circuit (OBUFT)

An Internal bus (BUFT):

°An inferred BUFT is converted automatically to logic realized in LUTs by Vivado synthesis.

°When an internal bus inferring a BUFT is driving an output of the top module, the Vivado synthesis infers an OBUF.