Using Synthesis - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

This section describes using the Vivado Integrated Design Environment (IDE) to set up and run Vivado synthesis. The corresponding Tcl Console commands follow most Vivado IDE procedures, and most Tcl commands link directly to the Vivado Design Suite Tcl Command Reference Guide (UG835) [Ref 4]. Additionally, there is more information regarding Tcl commands, and using Tcl in the Vivado Design Suite User Guide: Using Tcl Scripting (UG894) [Ref 7].

VIDEO:   See the following for more information: Vivado Design Suite QuickTake Video: Synthesis Options and Vivado Design Suite QuickTake Video: Synthesizing the Design.