VHDL Record Types Code Example - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

A field of a record type can also be of type Record.

Constants can be record types.

Record types cannot contain attributes.

Vivado synthesis supports aggregate assignments to record signals.

The following code snippet is an example:

type mytype is record field1 : std_logic;

   field2 : std_logic_vector (3 downto 0);

end record;