Creating Asynchronous Clock Groups - 2022.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 English

Use the -asynchronous option to create asynchronous groups.

set_clock_groups -name async_clk0_clk1 -asynchronous -group {clk0 usrclk itfclk} \
-group {clk1 gtclkrx gtclktx}

If the name of the generated clocks cannot be predicted in advance, use get_clocks -include_generated_clocks to dynamically retrieve them. The -include_generated_clocks option is an SDC extension. The previous example can also be written as:

set_clock_groups -name async_clk0_clk1 -asynchronous \
-group [get_clocks -include_generated_clocks clk0] \
-group [get_clocks -include_generated_clocks clk1]