Migrating From UCF Constraints to XDC Constraints - 2022.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 English

The Xilinx® Vivado® Integrated Design Environment (IDE) uses Xilinx Design Constraints (XDC), and does not support the legacy User Constraints File (UCF) format.

There are key differences between Xilinx Design Constraints (XDC) and User Constraints File (UCF) constraints. XDC constraints are based on the standard Synopsys™ Design Constraints (SDC) format. SDC has been in use and evolving for more than 20 years, making it the most popular and proven format for describing design constraints.

Video: For training on migrating UCF constraints to XDC, see the Vivado Design Suite QuickTake Video: Migrating UCF Constraints to XDC.

If you are familiar with UCF but new to XDC, see the "Differences Between XDC and UCF Constraints" section in Migrating UCF Constraints to XDC chapter of the ISE to Vivado Design Suite Migration Guide (UG911). That chapter also describes how to convert existing UCF files to XDC as a starting point for creating XDC constraints.

Important: XDC has fundamental differences from UCF that must be understood in order to properly constrain a design. The UCF to XDC conversion utility is not a replacement for properly understanding and creating XDC constraints. Each XDC constraint is described in this User Guide.