Project Flows - 2022.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 English

You can add your Xilinx Design Constraints (XDC) files to a constraints set during the creation of a new project, or later, from the Vivado IDE menus.

The following figure shows two constraint sets in a project, which are single- or multi-XDC. The first constraint set includes two XDC files. The second constraint set uses only one XDC file containing all the constraints.

Figure 1. Single or Multi XDC

Important: If your project contains an IP that uses its own constraints, the corresponding constraint file does not appear in the constraints set. Instead, it is listed along with the IP source files.

You can also add Tcl scripts to your constraints set as unmanaged constraints or unmanaged Tcl scripts. The Vivado Design Suite does not write modified constraints back into an unmanaged Tcl script. Tcl scripts and XDC files are loaded in the same sequence as displayed in the Vivado IDE (if they belong to the same PROCESSING_ORDER group) or as reported by the command report_compile_order -constraints.

An XDC file or a Tcl script can be used in several constraints sets if needed. For more information on how to create and add constraint files and constraints sets to your project, see Working with Constraints in the Vivado Design Suite User Guide: System-Level Design Entry (UG895).