Setting Maximum Delay and Minimum Delay Constraints - 2022.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2022-06-01
Version
2022.1 English

The Maximum Delay constraint and the Minimum Delay constraint are set by two different XDC commands. These commands accept similar options.

Maximum Delay Constraint Syntax

set_max_delay <delay> [-datapath_only] [-from <node_list>]
[-to <node_list>] [-through <node_list>]

Minimum Delay Constraint Syntax

set_min_delay <delay> [-from <node_list>]
[-to <node_list>] [-through <node_list>]

Additional command options are available to fine tune the path specification. For more information about the supported command line options, see the Vivado Design Suite Tcl Command Reference Guide (UG835).