Intelligent Design Runs - 2022.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 English

An intelligent design run (IDR) is a special type of implementation run that uses a complex flow to attempt to close timing. Because an IDR can be aggressive, a compile time of up to 3.5 times that of a standard run can be expected. Typical compile times, however, are 2.5 times that of a standard run.

The IDR presents a simple user interface around complex timing closure features, and achieves results at least on par with FPGA experts for a high percentage of designs.