Multi-Corner Configuration Field - 2022.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 English

You can limit the default four-corner analysis performed by the Vivado timing analysis engine, as appropriate, using the options available in this field.

Equivalent Tcl command: config_timing_corners -corner <arg> -delay_type <arg>

For more information about config_timing_corners, refer to the Vivado Design Suite Tcl Command Reference Guide (UG835).