Setup Area (Max Delay Analysis) - 2022.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 English

The Setup area of the Design Timing Summary section displays all checks related to max delay analysis: setup, recovery, and data check.

  • Worst Negative Slack (WNS): This value corresponds to the worst slack of all the timing paths for max delay analysis. It can be positive or negative.
  • Total Negative Slack (TNS): The sum of all WNS violations, when considering only the worst violation of each timing path endpoint. Its value is:
    • 0 ns when all timing constraints are met for max delay analysis.
    • Negative when there are some violations.
  • Number of Failing Endpoints: The total number of endpoints with a violation (WNS<0 ns).
  • Total Number of Endpoints: The total number of endpoints analyzed.