Writing the Suggestion Object File - 2022.1 English

Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)

Document ID
UG906
Release Date
2022-05-04
Version
2022.1 English

After the QoR suggestion report has been created, an RQS (.rqs) file containing the suggestions must be generated that can be fed into the suggestion run. To do this, select the suggestions to be included in the run and then write the QoR suggestion file. This is illustrated in the following figure.

Figure 1. Select/Write Suggestions

The following figure shows the dialog box when writing suggestions.

Figure 2. Write Suggestions to Project Dialog Box

The equivalent Tcl command is as follows:

write_qor_suggestions filename.rqs
Some suggestions require you to authorize them by acknowledging that DONT_TOUCH properties must be overridden. The equivalent Tcl option is as follows:
-disable_dont_touch

Strategy suggestions are handled differently to standard suggestions. Writing ML strategies allows the creation of multiple strategy RQS files. For more details, refer to Strategy Suggestions. The equivalent Tcl option is as follows:

-strategy_dir <directory>