Using Incremental Compile in Project Mode - 2022.1 English

Vivado Design Suite User Guide: Programming and Debugging (UG908)

Document ID
UG908
Release Date
2022-04-26
Version
2022.1 English

In Project Mode, you can set the incremental compile option in the Design Runs window.

To set the incremental compile option:

  1. Select a run in the Design Runs window.
  2. Click Set Incremental Compile from the context menu.
  3. In the Set Incremental Compile window, select a reference design checkpoint. This enables incremental compile mode for the run.
  4. Open the Synthesized netlist and optionally modify/add the debug cores instantiated in the RTL.
  5. Use the Set Up Debug wizard to insert/delete/modify debug cores inserted into the design.
  6. Implement Design.
    Important: You must open the synthesized design to modify the debug cores in the design. Insertion of debug cores by opening a post-routed design is not supported.

For more information on the Incremental Compile feature, see this link in the Vivado Design Suite User Guide: Implementation (UG904).