BEL_PIN - 2022.1 English

Vivado Design Suite Properties Reference Guide

Document ID
UG912
Release Date
2022-06-08
Version
2022.1 English

BEL_PIN

Description

Figure 2-11:      BEL_PIN Objects

X-Ref Target - Figure 2-11

X14854-bel-bin-objects.jpg

A BEL_PIN is a pin or connection point on a BEL object.

The BEL_PIN is a device object, associated with netlist objects such as the PIN on a logic CELL, which is the connection point for the NET.

Related Objects

As seen in Figure 2-11, BEL_PIN objects are related to BEL and SITE device resources, and PIN and NET netlist objects. You can query the BEL_PINs of BELs, SITEs, PINs, or NETs by using a form of the following Tcl command:

get_bel_pins -of_objects [get_pins usbEngine0/usbEngineSRAM/Ram_reg_9/CLKARDCLK]

You can also query the SLRs, and TILEs that BEL_PINs are located in, or NODEs associated with the BEL_PIN:

get_slr -of_objects [get_bel_pins SLICE_X8Y176/D5LUT/WA5]

Properties

The properties on a BEL_PIN object include the following, with example values:  

Property                  Type    Read-only  Visible  Value

CLASS                     string  true       true     bel_pin

DIRECTION                 enum    true       true     IN

INDEX                     int     true       true     1

INDEX_IN_BEL              int     true       true     1

INDEX_IN_BUS              int     true       true     1023

INDEX_IN_ELEMENT          int     true       true     1

INDEX_IN_TILE             int     true       true     65535

IS_BAD                    bool    true       true     0

IS_BIDIR                  bool    true       true     0

IS_CLOCK                  bool    true       true     0

IS_DATA                   bool    true       true     0

IS_ENABLE                 bool    true       true     1

IS_INPUT                  bool    true       true     1

IS_OPTIONALLY_INVERTIBLE  bool    true       false    0

IS_OUTPUT                 bool    true       true     0

IS_PART_OF_BUS            bool    true       true     0

IS_RESET                  bool    true       true     0

IS_SET                    bool    true       true     0

IS_TEST                   bool    true       true     0

IS_USED                   bool    true       true     0

NAME                      string  true       true     IOB_X0Y197/OUTBUF/TRI

SITE_ID                   int     true       true     188

SPEED_INDEX               int     true       true     0

To report the properties for all the BEL_PINs on a specific BEL object, you can use the following FOREACH loop in the Vivado Design Suite Tcl shell or Tcl Console:

foreach x [get_bel_pins -of [get_bels <bel_name>]] {

   puts "****************** $x *****************"

   report_property -all $x

}

Where <bel_name> is the name of the BEL object to report.