PIP or SITE_PIP - 2022.1 English

Vivado Design Suite Properties Reference Guide

Document ID
UG912
Release Date
2022-06-08
Version
2022.1 English

PIP or SITE_PIP

Description

Figure 2-33:      PIP Objects

X-Ref Target - Figure 2-33

X14877-pip-objects.jpg

A PIP is a device object used for routing connections, or NETs, on the Xilinx part. A PIP, also called an ARC, is a connection multiplexer that can be programmed to connect one WIRE to another, thus connecting NODEs together to form the routing required for a specific NET in the design.

A SITE_PIP, also known as a routing BEL, is a connection multiplexer inside a SITE that can connect BEL_PINs to other BEL_PINs, or to SITE_PINs within the SITE.

Related Objects

As seen in Figure 2-33, page 119, PIP objects are related to SLRs, TILEs, NODEs, NETs, and WIREs. You can query the PIPs using a form of the following Tcl command:

get_pips -of [get_nodes INT_R_X7Y47/NW6BEG1]

You can also query the SLRs, and TILEs that PIPs are located in; or the NODEs, SPEED_MODELs, or WIREs associated with specific PIPs:

get_nodes -of_objects [get_pips INT_R_X7Y47/INT_R.BYP_ALT0->>BYP_BOUNCE0]

SITE_PIPs are associated with SITEs:

get_site_pips -of [get_sites SLICE_X8Y79]

PIP Properties

The properties on a PIP object can be reported with a command such as the following:

report_property -all [lindex [get_pips -of [get_tiles INT_R_X7Y47]] 0]

 

TIP:   Due to the number of PIPs on a device, using the get_pips Tcl command without -of_objects or -filters to narrow the results is not recommended.

The properties include the following, with example values:

Property            Type    Read-only  Visible  Value

CAN_INVERT          bool    true       true     0

CLASS               string  true       true     pip

IS_BUFFERED_2_0     bool    true       true     0

IS_BUFFERED_2_1     bool    true       true     1

IS_DIRECTIONAL      bool    true       true     1

IS_EXCLUDED_PIP     bool    true       true     0

IS_FIXED_INVERSION  bool    true       true     0

IS_INVERTED         bool    true       true     0

IS_PSEUDO           bool    true       true     0

IS_SITE_PIP         bool    true       true     0

IS_TEST_PIP         bool    true       true     0

NAME                string  true       true     INT_R_X7Y47/INT_R.BYP_ALT0->>BYP_BOUNCE0

SPEED_INDEX         int     true       true     2336

TILE                string  true       true     INT_R_X7Y47

VORPAL_ID           int     true       false

SITE_PIP Properties

The properties of the SITE_PIP can be reported with the following command:

get_site_pips -of [get_sites SLICE_X8Y79]

The properties on the SITE_PIP include the following, with example values:

Property  Type    Read-only  Visible  Value

CLASS     string  true       true     site_pip

FROM_PIN  string  true       true     A1

IS_FIXED  bool    true       true     0

IS_USED   bool    true       true     0

NAME      string  true       true     SLICE_X8Y79/D6LUT:A1

SITE      string  true       true     SLICE_X8Y79

TO_PIN    string  true       true     O6