Step 1: Generating an ML Strategy RQS File - 2022.1 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 English
This step shows the process of opening a routed design with QoR suggestions and generating a new RQS file with strategies. For details on the design, refer to Step 1: Understanding the Design.
  1. In the Vivado Design Suite, go to File > Project > Open and select the project located in <extract_Dir>/Lab3/project_2.

  2. In the Flow Navigator, click Open Implemented Design.
  3. From the pull-down menus, select Reports > Report QoR Assessment, and click OK.
  4. In the RQA Summary table, you will see the QoR Assessment Score and Flow Guidance. This table helps identify good candidate designs on which to use ML strategy suggestions. QoR assessment scores of 3 and above have a chance to meet timing. Designs with an RQA score of less than 3 are not prevented from generating ML strategies.

  5. Click ML Strategy Availability. This table details the required directives for the reference run to generate strategies.

    The status for all directives must be listed as OK to generate strategies. The requirements are as follows:

    • The opt_design directive value must be either Default or Explore.
    • The place_design, phys_opt_design, and route_design conditions must be the same as each other and must be set to either Default or Explore.
  6. In the Design Runs window, confirm the strategy is Vivado Implementation Defaults. This requirement is met when a design has been run with either the Vivado implementation defaults or the performance_explore strategy.
  7. From the pull-down menus, select Reports > Report QoR Suggestions, and click OK.
  8. In the QoR suggestion report, select GENERATED. Three new strategies have been generated.

  9. In the Strategy section, select the topmost strategy. Here, you can see the details of the strategy being suggested. It is possible to set these up manually, but to automate the process more easily, the recommended flow is to read an RQS file containing strategies and set the directive to RQS on the implementation commands.

  10. Select Write Suggestions to Project to write the following files:
    • A top-level RQS file that does not contain strategies (this file can be ignored)
    • An RQS file for each strategy as well as any other QoR suggestions (written to the MLStrategy directory).

    Generating the strategy RQS files is the first part of a two-step process. This way of generating the suggestions gives complete control over what other suggestions are in the RQS file. Other ways to generate these files are as follows: