Step 5: Run with Suggestions - 2022.1 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 English

In this step, you will add a suggestion to a run and examine what happens when a suggestion is applied and how it is reported.

  1. In the Design Runs window, right-click on the synthesis run, select Copy Run, and click OK. Do the same for the implementation run, but update the synthesis run name to the new one you have just created, and click OK.

  2. In the Design Runs window, right-click the new synth_1_copy_1 run and select Set QoR Suggestions.

  3. Specify the suggestion file as the RQS file added to the project from the previous step and click OK.

  4. Repeat steps 2 and 3 for the implementation run, making sure to specify the new synthesis run as the parent run. Specify the same RQS file for each run.
  5. In the Design Runs window, right-click synth_1_copy and select Make Active.
  6. In the Flow Navigator, click Run Synthesis.
  7. Because this design takes a long time to route, you will only run to place_design and analyze at this stage. When synthesis is complete, in the Design Runs window, right-click on the new implementation run and select Launch Step To > place_design.

  8. With the implementation running, select the Design Runs window. Right-click the synth_1_copy synthesis run and click Open Run.
  9. When the run has opened, select Reports > QoR Assessment... and click OK.
  10. Click RQA Summary. The score has improved from 2 to 4.

  11. Click Assessment Details. The Net and LUT budget score has been reduced but not eliminated. This is a consequence of the high frequency that paths are being forced to run at in this design.

  12. Close the synthesized design.
  13. When place_design is finished, examine the very top of the implementation log file for the new implementation run. It provides a table summary of the suggestions that have been read in. This summary helps you confirm that what has been read in is what you expect.
    
    1. Read QOR Suggestions Summary
    -------------------------------
    
    
    Read QOR Suggestions Summary
    +---------------------------------------------+---------------+-------+
    | Suggestion Summary                          | Incr Friendly | Total |
    +---------------------------------------------+---------------+-------+
    | Total Number of Enabled Suggestions         |             1 |     4 |
    | Automatic                                   |             1 |     4 |
    | Manual                                      |             0 |     0 |
    | APPLICABLE_FOR                              |               |       |
    | synth_design                                |             0 |     3 |
    | opt_design                                  |             0 |     0 |
    | That overlap with synthesis suggestions     |             0 |     0 |
    | place_design                                |             1 |     1 |
    | postplace_phys_opt_design                   |             0 |     0 |
    | route_design                                |             0 |     0 |
    | postroute_phys_opt_design                   |             0 |     0 |
    | ML Strategy                                 |             0 |     0 |
    | Total Number of Disabled Suggestions        |             0 |     0 |
    +---------------------------------------------+---------------+-------+
    
  14. Right-click on the implementation run and select Open Run Directory. Open the checkpoint file by double-clicking top_placed.dcp. This step is necessary because you are examining an intermediate run step in the interests of saving time.
  15. In the new instance of the Vivado tools, select Reports > Report QoR Suggestions ... and click OK.
  16. In the new report, there are more sections under Suggestion Report:
    GENERATED
    New suggestions are listed in this section.
    EXISTING
    Suggestions that existed previously but have not been applied are listed in this section (not shown).
    APPLIED
    Suggestions that have been applied are listed in this section.
    FAILED TO APPLY
    Suggestions that apply to design objects that no longer exist are listed in this section (not shown).


    The suggestion file can be written by using the Write Suggestions to Project button.

  17. Click APPLIED and select the details table for one of the items. For APPLIED suggestions, the timing path summary is still available but it is not possible to cross probe to other views in Vivado because some items might have changed.