Step 6: Accumulating Suggestions - 2022.1 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2022-05-11
Version
2022.1 English
You can now review the newly generated suggestions and add them to the RQS file.
  1. Click GENERATED. The RQS_CLOCK-15 message reports high THS paths but does not provide an automatic suggestion.
  2. Examine RQS_CLOCK-2-1. This suggestion recommends changing the clock buffers to BUFGCE_DIV to improve the timing path uncertainty. It is highly recommended to implement this. Because this suggestion is not automated, however, it requires an RTL edit. If you wish, you can make the recommendation and see the improvement, but this step can be skipped. The next steps focus on the automated suggestions.
  3. Click on RQS_CLOCK-1-1 to view the detailed report. This suggestion applies CLOCK_DELAY_GROUP to related clocks. In this report, you can see that there is a high clock skew and failing slack.

    Clock skew is difficult to identify before place_design because the skew estimate depends heavily on placement. As a consequence, RQS does not offer this suggestion unless a design is placed. Whenever there is a change in information level, it might be advisable to run report_qor_suggestions. The following summarizes the changes as you progress through the tool flow:

    • Clocking estimates are accurate after place_design.
    • Congestion is available after placement and improves further after routing.
    • Timing estimates improve throughout the flow and are impacted by the number of paths analyzed.
  4. Click Write Suggestions To Project. When suggestions are written, the APPLIED status is reset. All the previous suggestions and the new RQS_CLOCK-1-1 suggestion are combined into one file. You can overwrite the previous file and reuse the runs, or create a new file and new runs.
  5. Select the file location to overwrite the existing file. You can find out the location of this by selecting it in the sources window. Alternatively, it should be at the following location if you have followed the steps carefully: <extract_dir>/Lab2/project_2/project_2.srcs/utils_1/imports/project_2.

    You are now at the point where you know the fundamentals in handling RQS files and accumulating suggestions. If you have time, rerun implementation through to route_design and examine the impact of the latest suggestion. Alternatively, generate alternative suggestions by running report_qor_suggestions on your own design.

  6. Close the run.
  7. In the Design Runs window, right-click the implementation run impl_1_copy_1 and select Launch to > Route Design. When routing is complete, right-click and select Generate ML Strategies. Doing this generates three RQS files that each contain one ML strategy suggestion, the APPLIED suggestions, and optionally any GENERATED suggestions.

  8. After the generation is complete, in the Design Runs window, right-click the implementation run impl_1_copy_1 and select Create ML Strategy Runs. This creates three implementation runs, each targeting a different ML-based implementation strategy.