Create and Launch a Design Run for the Block Memory Generator IP - 2022.1 English

Vivado Design Suite Tutorial: Designing with IP (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 English
For a synthesis design checkpoint (DCP) to be generated, an IP Design Run must first be created.
  1. Add the following to your Tcl script:
    create_ip_run [get_ips blk_mem_gen_v7_3_0]

    A new run is created for the IP, with the name <ip_name>_synth_1. The IP design run is launched using the launch_runs command.

  2. Add the following to your script:
    launch_runs [get_runs blk_mem_gen_v7_3_0_synth_1]

The run is now launched and when completed a synthesis design checkpoint is added to the project. If you do not launch the IP run, it launches automatically when synthesizing the top-level.

If you have multiple IP runs that you created, those runs are launched serially. To launch in parallel you need to use the launch_runs command.

During synthesis of the top level logic, a black box is inferred for the IP. During implementation the DCP is opened and the netlist is read and constraints are applied.

When launching the top level synthesis run it waits automatically for any IP runs to complete, so there is no need to use wait_on_run commands for each IP run.

Note: If you wanted to have a number of IP generate DCPs in parallel, you can either:
  • Create all the runs first and then launch all the runs.
  • Create and launch IP runs one after another.