Source the Tcl Script - 2022.1 English

Vivado Design Suite Tutorial: Designing with IP (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 English
You can run the non_project_run.tcl script in Vivado® Design Suite batch mode or Tcl mode.
  • Batch mode runs the sourced script, and then automatically exit the tool after the script has finished processing.
  • Tcl mode runs the sourced script, and return to the Tcl command prompt when finished.
  1. Change to the directory where the lab materials are stored:
    • On Linux: cd <Extract_Dir>/lab_4
  2. Launch the Vivado® Design Suite Tcl shell, and source a Tcl script to create the tutorial design:
    • On Linux: vivado -mode tcl -source non_project_run.tcl
    • On Windows, click Start > All Programs > Xilinx Design Tools > Vivado 2021.2 > Vivado 2021.2 Tcl Shell to launch the Vivado Design Suite Tcl shell.
  3. In the Tcl shell:
    • Change to the directory where the lab materials are stored:
      Vivado% cd <Extract_Dir>/lab_4
    • Source the Tcl script to create the design:
      Vivado% source non_project_run.tcl

      After the sourced script has completed, the Tcl shell displays the Vivado% prompt.

      Important: If your Tcl script has an error in it, the script will halt execution at the point of the error. You will need to fix the error, and re-source the Tcl script as needed. If you are running in Tcl mode, you may need to close the current project with close_project, or exit the Vivado tool with exit to source the Tcl script again.


    Running the script results in the creation of a directory called IP. Output products for the various IPs used in the design are written to this directory. Reports, design checkpoints, and a bitstream for the design are also written to disk.

  4. You can open the design in the Vivado IDE to perform further analysis. To open the Vivado IDE from the Tcl prompt type: start_gui.