Step 9: Run the Script - 2022.1 English

Vivado Design Suite Tutorial: Designing with IP (UG939)

Document ID
UG939
Release Date
2022-06-10
Version
2022.1 English
You are now ready to run the script. Your script should be similar to the following:
#Step 1: Create Project
cd C:/ug939-design-files/lab_3
create_project -force -part xc7k70tfbg484-3 my_project my_project
#Step 2: Adding RTL Files
add_files -scan_for_includes ../Lab_3_4_sources/HDL
import_files
#Step 3: Adding XDC Files
import_files -fileset constrs_1 \
{../Lab_3_4_sources/Constraints/top_timing.xdc \
../Lab_3_4_sources/Constraints/top_physical.xdc}
set_property used_in_synthesis false [get_files top_physical.xdc]
#Step 4: Importing IP
import_ip { \
../Lab_3_4_sources/IP/Accumulator/c_accum_0.xci \
../Lab_3_4_sources/IP/blk_mem/blk_mem_gen_v7_3_0.xci \
../Lab_3_4_sources/IP/clk_wiz/clk_wiz_0.xci \
../Lab_3_4_sources/IP/char_fifo/char_fifo.xci}
#Step 5: Disable XDC
set_property generate_synth_checkpoint false [get_files clk_wiz_0.xci]
generate_target all [get_files clk_wiz_0.xci]
set clk_wiz_xdc [get_files -of_objects [get_files \
clk_wiz_0.xci] -filter {FILE_TYPE == XDC}]
set_property is_enabled false [get_files $clk_wiz_xdc]
#Step 6: Upgrade IP
set locked [get_property IS_LOCKED [get_ips blk_mem_gen_v7_3_0]]
set upgrade [get_property UPGRADE_VERSIONS [get_ips blk_mem_gen_v7_3_0]]
if {$upgrade != "" && $locked} {
upgrade_ip [get_ips blk_mem_gen_v7_3_0]}
#Step 7: Launch IP run
create_ip_run [get_ips blk_mem_gen_v7_3_0]
launch_runs [get_runs blk_mem_gen_v7_3_0_synth_1]
#Step 8: Launching Synthesis and Implementation launch_runs synth_1
launch_runs synth_1
wait_on_run synth_1
launch_runs -to_step write_bitstream impl_1
wait_on_run impl_1