Controlling the Phase, Frequency, Duty-Cycle, and Jitter of the Clock - 2022.1 English

UltraFast Design Methodology Guide for Xilinx FPGAs and SoCs (UG949)

Document ID
UG949
Release Date
2022-06-08
Version
2022.1 English

This section provides techniques for fine-tuning the clock characteristics.