Reusing a Block Design Containing a Module Reference - 2022.1 English

Vivado Design Suite User Guide: Designing IP Subsystems Using IP Integrator (UG994)

Document ID
UG994
Release Date
2022-04-20
Version
2022.1 English

A block design that has RTL reference modules in it can be re-used in other projects, just like any other block design; however, you must first add the RTL module source files to the project, then add the block design to the project. This lets IP integrator bind the cell instances present in the block design to the referenced RTL modules.