手順 2: デザイン制約の準備 - 2022.1 日本語

Vivado Design Suite チュートリアル: カスタム IP の作成およびパッケージ (UG1119)

Document ID
UG1119
Release Date
2022-05-11
Version
2022.1 日本語

チュートリアル デザインには、XDC ファイル (uart_top.xdc) で定義されているタイミング制約が含まれています。これらの制約は、スタンドアロン デザインとしての UART デザイン用に定義されています。IP としてパッケージする場合は、親デザインから必要な制約の一部がこのデザインに継承されます。その場合、IP を親デザインのコンテキストで使用する場合に必要な制約と、スタンドアロンでアウト オブ コンテキスト (OOC) で使用する場合に必要な制約を分けるため、XDC ファイルを変更する必要があります。これには、現在の XDC ファイルを分割する必要があります。IP カタログに含めるためデザインをパッケージする前に、デザイン制約を準備しておく必要がありますが、IP をパッケージした後にその準備をすることも可能です。

重要: Vivado ツールでは、デフォルトのアウト オブ コンテキスト (OOC) デザイン フローの一部として、IP をパッケージして使用するために合成済みデザイン チェックポイント (DCP) が作成されます。

パッケージされた IP がデフォルトの OOC デザイン フローで正しく機能することを確認するには、IP の外部クロックをすべて定義するスタンドアロンの XDC ファイルを、IP のパッケージに含める必要があります。

Vivado 合成では、OOC 合成 run にスタンドアロンの XDC ファイルが使用され、IP が推奨されるクロック周波数に制約されます。

最上位デザインのコンテキストで使用する場合は、親 XDC ファイルのクロック制約が使用されるので、スタンドアロンの OOC XDC ファイルは不要です。

OOC デザイン フロー、および DCP ファイルの使用については、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896) を参照してください。

ヒント: パッケージされた IP の機能と使用方法によって、制約の適用範囲を正しく設定するため、デザイン制約を調整する必要がある場合もあります。詳細は、 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903) の「制約の適用範囲の設定」を参照してください。