--hls オプション - 2022.1 日本語

Vitis 統合ソフトウェア プラットフォームの資料: アプリケーション アクセラレーション開発 (UG1393)

Document ID
UG1393
Release Date
2022-05-25
Version
2022.1 日本語

--hls.XXX オプションは、カーネルのコンパイル中に呼び出される Vitis HLS 合成プロセス用のオプションを指定します。

--hls.clock

--hls.clock <arg>

Vitis HLS でカーネルをコンパイルする周波数を Hz で指定します。

<arg><frequency_in_Hz>:<cu_name1>,<cu_name2>,..,<cu_nameN> のように指定します。

  • <frequency_in_Hz>: カーネル周波数を MHz で指定します。
  • <cu_name1>,<cu_name2>,...: リストされているカーネルまたはカーネル インスタンス (CU) を指定のターゲット周波数でコンパイルします。

次に例を示します。

v++ -c --hls.clock 300000000:mmult,mmadd --hls.clock 100000000:fifo_1
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
clock=300000000:mmult,mmadd
clock=100000000:fifo_1

--hls.export_mode

--hls.export_mode <file_type>:<file_path>

Vitis HLS の RTL エクスポート モード、およびエクスポートされたファイルのパスと名前を指定します。v++ コンパイラ オプションとしてサポートされる <file_type> は XO のみです。

次に例を示します。

v++ --hls.export_mode xo:./kernel.xo
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
export_mode=xo:./kernel.xo

--hls.export_project

--hls.export_project <arg>

Vitis HLS プロジェクト設定スクリプトのエクスポート ディレクトリを指定します。

次に例を示します。

v++ --hls.export_project ./hls_export
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
export_project=./hls_export

--hls.jobs

--hls.jobs <arg>

HLS の実行を起動するジョブ数を指定します。

このオプションでは、Vitis HLS が RTL カーネル コードを合成するするのに使用する並列ジョブの数を指定します。ジョブ数を増やすと、より多くのプロセスを並列に処理して短時間で完了できるようになります。

次に例を示します。

v++ --hls.jobs 4
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
jobs=4

--hls.lsf

--hls.lsf <arg>

HLS 実行のために LSF にジョブを送信する bsub コマンドを指定します。

bsub コマンド ラインを LSF クラスターに渡す文字列として指定します。Vitis HLS 合成に IBM Platform Load Sharing Facility (LSF) を使用する場合に必要なオプションです。

次に例を示します。

v++ --compile --hls.lsf '{bsub -R \"select[type=X86_64]\" -N -q medium}'
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
lsf='{bsub...

--hls.post_tcl

--hls.post_tcl <arg>

csynth_design の後に読み込む vitis_hls の Tcl コマンドを含む Tcl ファイルを指定します。

次に例を示します。

v++ --hls.post_tcl ./runPost.tcl
ヒント: このオプションは、設定ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用して指定できます。
[hls]
post_tcl=./runPost.tcl

--hls.pre_tcl

--hls.pre_tcl <arg>

csynth_design を実行する前に読み込む vitis_hls の Tcl コマンドを含む Tcl ファイルを指定します。

次に例を示します。

v++ --hls.pre_tcl ./runPre.tcl
runPre.tcl には、Vitis HLSm_axi インターフェイスを設定する次のコマンドが含まれます。
config_interface -m_axi_auto_max_ports=1
config_interface -m_axi_max_bitwidth 512
ヒント: このオプションは、コンフィギュレーション ファイルの [hls] セクション ヘッドの下で次のフォーマットを使用しても指定できます。
[hls]
pre_tcl=./runPre.tcl