to_step を使用して Vivado をインタラクティブに起動する方法 - 2022.1 日本語

Vitis 統合ソフトウェア プラットフォームの資料: アプリケーション アクセラレーション開発 (UG1393)

Document ID
UG1393
Release Date
2022-05-25
Version
2022.1 日本語

Vitis コンパイラでは、ビルド プロセスを --to_step で指定した段階を終了してから停止し、デザインまたはファイルを手動で変更して、--from_step で指定した段階からビルドを再開できます。--from_stepVitis コンパイラに --to_step で中断した段階からコンパイルを再開するように指示します。--to_step および --from_step については、Vitis コンパイラの一般オプション を参照してください。

重要: --to_step および --from_step オプションはシーケンシャル ビルド オプションで、v++ --link --from_stepv++ --link --to_step で同じプロジェクト ディレクトリを使用する必要があります。

Vitis コンパイラには、特定のビルド ターゲットのコンパイルまたはリンクで指定可能な段階をリストする --list_steps オプションもあります。たとえば、ハードウェア ビルドのリンク プロセスの段階をリストするには、次のコマンドを使用します。

v++ --list_steps --target hw --link

このコマンドを実行すると、Vitis コンパイラのハードウェア ビルドのリンク プロセスで実行されるデフォルトの段階とオプションの段階がリストされます。デフォルト段階には、system_linkvplvpl.create_projectvpl.create_bdvpl.generate_targetvpl.synthvpl.impl.opt_designvpl.impl.place_designvpl.impl.route_designvpl.impl.write_bitstream などがあります。

オプションの段階には、vpl.impl.power_opt_designvpl.impl.post_place_power_opt_designvpl.impl.phys_opt_designvpl.impl.post_route_phys_opt_design などがあります。
ヒント: -vivado および -advanced オプション で説明したように、オプションの段階は、--from_step または --to_step で指定する前にイネーブルにする必要があります。

インタラクティブ設計での Vivado IDE の起動

たとえば、--to_step コマンドを使用する場合、ビルド プロセスを Vivado 合成まで実行し、Vivado IDE でプロジェクトを開いてデザインの配置配線を手動で実行できます。これには、次のコマンド構文を使用します。

v++ --target hw --link --to_step vpl.synth --save-temps --platform <PLATFORM_NAME> <XO_FILES>
ヒント: 上記の例のように、--to_step を使用する場合は、--save-temps も指定して、ビルド プロセスで作成される一時ファイルを保存する必要があります。

このコマンドは、ハードウェア ビルドのリンク プロセスを指定し、ビルドを合成段階まで実行して、ビルド プロセスで生成される一時ファイルを保存します。

--interactive コマンドを使用すると、Vitis コンパイラで構築されたプロジェクトで Vivado ツールを直接起動できます。これにより、ビルド ディレクトリの <temp_dir>/link/vivado/vpl/prj にある Vivado プロジェクトが開き、デザインをインタラクティブに編集できます。

v++ --target hw --link --interactive --save-temps --platform <PLATFORM_NAME> <XO_FILES>

Vivado IDE をこのモードで起動すると、合成 run またはインプリメンテーション run を開いてプロジェクトを制御できます。タイミング クロージャを達成するため、必要に応じて run の詳細を変更し、異なるインプリメンテーション方法を試してみます。結果をデザイン チェックポイント (DCP) として保存するかプロジェクト ビットストリーム (.bit) を生成し、Vitis 環境で デバイス バイナリを生成するのに使用します。

Vivado IDE 内で DCP を保存したら、ツールを閉じて、Vitis 環境に戻ります。v++ コマンド ラインで以前にインプリメントされた DCP ファイルを使用して xclbin を生成するには、--reuse_impl オプションを適用します。

重要: --reuse_impl オプションはインクリメンタル ビルド オプションで、--reuse_impl を使用して Vitis コンパイラを再開する際は、ビルドを開始する際に指定した --to_step と同じプロジェクト ディレクトリを使用する必要があります。

次のコマンドは、Vivado ツールから指定した DCP ファイルを使用してリンク プロセスを実行し、入力ファイルから project.xclbin を作成します。

v++ --link --platform <PLATFORM_NAME> -o'project.xclbin' project.xo --reuse_impl ./_x/link/vivado/routed.dcp
また、Vivado ツールで生成されたビットストリーム ファイルを使用して、project.xclbin を作成することもできます。
v++ --link --platform <PLATFORM_NAME> -o'project.xclbin' project.xo --reuse_bit ./_x/link/vivado/project.bit
注記: --reuse_bit に使用される project.bit は、部分ビットであり、全ビットではありません。

その他の Vivado オプション

次に、v++ コマンド ラインまたは設定ファイルで使用可能な追加のオプションの一部を示します。

  • --export_script/--custom_script で Tcl スクリプトを編集および使用すると、コンパイルまたはリンク プロセスを変更できます。
  • --remote_ip_cache: Vivado 合成用のリモート IP キャッシュ ディレクトリを指定します。
  • --no_ip_cache: Vivado 合成の IP キャッシュをオフにします。これにより、キャッシュ データが消去され、ビルド プロセス中にすべての IP が再合成されます。