hsi::get_nets - 2022.1 日本語

Vitis 統合ソフトウェア プラットフォームの資料: エンベデッド ソフトウェア開発 (UG1400)

Document ID
UG1400
Release Date
2022-04-26
Version
2022.1 日本語

説明

ネットのリストを取得します。

構文

get_nets [-regexp] [-filter <arg>] [-boundary_type <arg>] [-hierarchical] [-of_objects <args>] [-quiet] [-verbose] [<patterns>...] 

戻り値

ネット オブジェクト。コマンドが正しく実行されなかった場合はなし。

使用法

名前 説明
[-regexp] 検索パターンを正規表現で指定します。
[-filter] 式を使用してリストをフィルター処理します。
[-boundary_type] ソース オブジェクトが階層ブロックのピン上にある場合に使用します。有効な値は、upper、lower、both です。lower に設定すると、下位階層から検索が実行されます。このオプションは、connected_to 関係にのみ有効です。デフォルトは upper です。
[-hierarchical] 階層セルのすべてのレベルからネットを取得します。
[-of_objects] 指定した hw_design、cell、port の hw_hbm オブジェクトを取得します。
[-quiet] コマンド エラーを表示しません。
[-verbose] メッセージの非表示設定を解除し、すべてのメッセージを表示します。
[<patterns>] セル名を検索するパターンを指定します。デフォルトは * です。

カテゴリ

Hardware (ハードウェア)

説明

現在のハードウェア デザインに含まれるネットで、検索パターンに一致するもののリストを取得します。デフォルトでは、サブシステム デザインに含まれるすべてのネットのリストが返されます。

引数

-regexp (オプション): 検索パターンが正規表現で記述されていることを指定します。このオプションを使用する場合、検索パターン (<patterns>) および -filter オプションの式は正規表現で記述する必要があります。ザイリンクスの正規表現では、Tcl コマンドは常に検索文字列の先頭にアンカーされています。検索文字列の先頭または末尾に「.*」を追加して、部分文字列を含めるよう検索を拡張できます。正規表現構文については、このウェブ ページを参照してください。
注記: Tcl ビルトイン コマンド regexp はアンカーされておらず、標準 Tcl コマンドと同様に機能します。詳細は、このウェブ ページを参照してください。

-filter <args> (オプション): 結果のリストに指定した式のフィルターを適用します。-filter オプションを使用すると、返されたオブジェクトのリストに、オブジェクトのプロパティ値に基づいてフィルターを適用できます。オブジェクトに設定されているプロパティは、report_property または list_property コマンドで確認できます。

フィルター検索パターンにはクォーテーションを使用すると、ネット、ピン、セル名、またはその他のプロパティに含まれる特殊文字をエスケープ処理する必要がなくなります。文字列比較では大文字と小文字が区別され、常に検索文字列の冒頭および末尾にアンカーされています。検索文字列の先頭または末尾に「*」を追加して、プロパティ値の部分文字列を含めるよう検索を拡張できます。
注記: フィルターでは、オブジェクトに指定のプロパティが存在し、指定の検索パターンがオブジェクトのプロパティ値に一致すると、そのオブジェクトが返されます。ワイルドカード (*) を使用すると、定義値が "" のプロパティに一致します。

文字列比較では、フィルター式に使用できる演算子は equal (==)、not-equal (!=)、match (=~)、not-match (!~) です。数値比較演算子 <><=、および>= も使用できます。複数のフィルター式を AND (&&) および OR (||) で組み合わせることもできます。

ハードウェア デザイン オブジェクトでは、結果をフィルター処理するのに NAME プロパティを使用できます。

-boundary_type (オプション): ソース オブジェクトが階層ブロックのピン上にある場合に使用します。有効な値は、upper、lower、both です。lower に設定すると、下位階層から検索が実行されます。このオプションは、connected_to 関係にのみ有効です。デフォルトは upper です。

-hierarchical (オプション): 階層セルのすべてのレベルからネットを取得します。

-of_objects (オプション): 指定したハードウェア デザイン (hw_design)、セル、ポートのネット オブジェクトを取得します。

-quiet (オプション): コマンドをメッセージを表示せずに実行します。実行中にエラーが発生しても、TCL_OK が返されます。
注記: コマンドを実行したときにコマンド ラインで発生したエラーは返されます。コマンド内で発生したエラーのみが非表示になります。
-verbose (オプション): メッセージの非表示設定を一時的に解除し、コマンドからのすべてのメッセージを返します。
注記: メッセージの非表示設定は、set_msg_config コマンドで定義できます。

<patterns (オプション): ハードウェア デザイン ネットを検索するパターンを指定します。デフォルトの検索パターンはワイルドカード (*) で、現在の IP インテグレーター サブシステム デザインに含まれるすべてのネットのリストが取得されます。複数の検索パターンを指定して、異なる検索条件に基づいてネットを検索できます。

注記: 複数の検索パターンは { } で囲み、1 つのエレメントとして指定します。

次の例は、ハードウェア デザイン モジュールの指定したピンに接続されているネットを取得し、両方のネットを返します。

hsi::get_nets -of_objects [get_pins aclk]
注記: 検索パターンに一致するネットがない場合は、警告メッセージが表示されます。