Reset Generator - 2022.1 日本語

Vitis Model Composer ユーザー ガイド (UG1483)

Document ID
UG1483
Release Date
2022-05-26
Version
2022.1 日本語

Reset Generator ブロックは、システム サンプル レートで実行されているユーザーのリセット信号をキャプチャし、ブロックで指定されたレートで実行されるダウンサンプリングされたリセット信号を 1 つまたは複数生成します。

ダウンサンプリングされたリセット信号は、スタートアップ中と同じ方法で同期されます。RDY 出力信号は、ダウンサンプリングされたリセットが入力リセットの検出後にアサートされなくなったことを示します。

ブロック パラメーター

Simulink® モデルでブロックのアイコンをダブルクリックすると、次のような [Block Parameters] ダイアログ ボックスが開きます。

図 1. [Block Parameters] ダイアログ ボックス

デザイン サンプル レートを上記のように MATLAB® ベクター形式で指定します。任意の数の出力を指定できます。