Tcl コマンドの使用 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2022-05-05
Version
2022.1 日本語

Tcl コマンドおよびスクリプト化の使用方法は、使用するデザイン フローによって異なります。非プロジェクト モードを使用する場合、ソース ファイルは read_verilogread_vhdlread_edif, read_ip、および read_xdc コマンドを使用して読み込みます。Vivado Design Suite により、合成、シミュレーション、インプリメンテーションに渡すためのインメモリのデザイン データベースが作成されます。一方、プロジェクト モードを使用する場合は、ソース ファイルの管理およびデザイン ステータスの確認に必要なプロジェクトのインフラストラクチャを作成するため、create_projectadd_filesimport_files、および add_directories を使用します。バッチ フローの synth_designopt_designplace_designroute_design、および write_bitstream の個々コマンドを、すべてを網羅する launch_runs というコマンドに置き換えます。launch_runs コマンドでは、個々のコマンドと共に、デフォルトのレポートを生成して run ステータスを確認するコマンドが実行されます。このように、プロジェクト モードの Tcl 実行スクリプトは、非プロジェクト モードとは異なります。このチュートリアルでは、プロジェクト モードおよび非プロジェクト モードだけでなく、Vivado IDE について説明します。

このチュートリアルで説明されている解析機能の多くは、ほかのチュートリアルで詳しく説明されています。すべてのコマンドやコマンド オプションがこのチュートリアルですべて使用されるわけではありません。Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835)を参照してください。

このチュートリアルには演習が 2 つありますが、個別に実行できます。

演習 1: 非プロジェクト デザイン フローの使用

  • bft デザインをインプリメントするための run スクリプト例を順を追って説明します。
  • 各段階でさまざまなレポートを表示します。
  • vivado.log ファイルを確認します。
  • デザイン チェックポイントを生成します。
  • 合成後に Vivado IDE を開き、タイミング制約の定義および I/O プランニングを確認し、制約をアップデートする方法を説明します。
  • タイミング、消費電力、リソース使用率、および配線を解析するため、インプリメント済みのデザイン チェックポイントを開きます。

演習 2: プロジェクト ベース デザイン フローの使用

  • 新しいプロジェクトを作成します。
  • Vivado IDE で bft デザインをインプリメントする方法を説明します。
  • 各段階でさまざまなレポートを表示します。
  • 合成済みデザインを開き、タイミング制約定義、I/O プランニング、デザイン解析を確認します。
  • タイミング、消費電力、リソース使用率、配線、およびクロスプローブを解析するため、インプリメント済みのデザインを開きます。