手順 9: インプリメント済みデザインの解析 - 2022.1 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2022-05-05
Version
2022.1 日本語

Vivado IDE はインタラクティブな環境で、インメモリ デザインのデザイン制約およびネットリストを編集できます。デザインを保存すると、制約の変更が元の XDC ファイルに書き込まれます。または、元の制約を変更せずに、変更した制約を新しい別の制約ファイルに保存することもできます。このように Vivado IDE には柔軟性があるため、元のソース ファイルを変更せずに、フロアプランも含め、さまざまなタイミング制約および物理制約を検討できます。