IP および IP サブシステムの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

非プロジェクト モードの場合、最上位合成を開始する前に、出力ファイルを IP またはブロック デザイン用に生成する必要があります。IP は、RTL ソースおよび制約を使用するか、合成済みデザイン チェックポイントの OOC (アウト オブ コンテキスト) ネットリストを最上位デザインのソースとして使用するように設定できます。デフォルトでは、各 IP に対して OOC デザイン チェックポイントが生成されます。

非プロジェクト モードでは、次のいずれかの方法を使用して IP を追加できます。

  • Vivado IP カタログを使用して生成した IP (.xci 形式またはコア コンテナー用の .xcix 形式)

    アウト オブ コンテキスト デザイン チェックポイント ファイルが IP ディレクトリにある場合は、それがインプリメンテーションに使用され、合成ではブラック ボックスが挿入されます。デザイン チェックポイント ファイルが IP ディレクトリにない場合は、RTL および制約ソースがグローバルな合成およびインプリメンテーションに使用されます。

  • IP またはブロック デザインを設定および生成する Tcl コマンドを使用。

    Tcl を使用すると、run ごとに IP が設定、生成、および合成されます。

重要: プロジェクト モードまたは非プロジェクト モードで IP を使用する場合は、DCP ファイルではなく XCI ファイルを使用してください。このファイルを使用すると、すべてのデザイン フロー段階で IP 出力ファイルが使用されます。IP が独立モジュールとして合成されており、関連する DCP ファイルが既にある場合は、その DCP ファイルが自動的に使用され、IP は再合成されません。詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896)このセクションを参照してください。

詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896)このセクションまたは 『Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計』 (UG994)このセクションを参照してください。