IP の制約 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

多くの IP には、Vivado 合成およびインプリメンテーション中に使用される XDC 制約ファイルがあります。IP が Vivado IP カタログからカスタマイズされたものである場合、これらの制約は、プロジェクト モードおよび非プロジェクト モードの両方で自動的に適用されます。

IP コアの多くでは、これらの XDC ファイルの入力クロックが参照されます。これらのクロックは、最上位でユーザーが指定したものか、またはデザイン内のほかの IP コアから供給されることもあります。Vivado ツールでは、デフォルトで IP クロックおよびユーザー定義の最上位クロックが先に作成され、これらのクロックがそれを必要とする IP コアに供給されます。詳細は、 『Vivado Design Suite ユーザー ガイド: IP を使用した設計』 (UG896)このセクション 参照してください。