インクリメンタル コンパイルを使用したインプリメンテーションの実行 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

わずかなデザイン変更を取り込むには、Vivado インプリメンテーションを実行するとき、インクリメンタル コンパイル フローを指定できます。インクリメンタル コンパイルでは、変更の範囲や変更されたタイミング クリティカルなロジックの数によりますが、配置配線の実行時間を短縮し、既存のインプリメンテーション結果を保持できます。

Vivado IDE の [Implementation Settings] ダイアログ ボックスの [Set Incremental Compile] オプションを指定するか、[Design Runs] ウィンドウの右クリック メニューから [Set Incremental Compile] を選択します。また、Tcl コマンドの read_checkpoint で -incremental オプションを使用すると、配線済みデザイン チェックポイントをリファレンスとして使用するように指定できます。詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904)このセクションを参照してください。