インプリメンテーション - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

Vivado インプリメンテーションでは、インプリメンテーション run を設定、起動、および監視できます。さまざまなインプリメンテーション オプションを試行し、再利用可能なインプリメンテーション run ストラテジを作成できます。たとえば、短いランタイム、システム パフォーマンスの改善、またはエリア最適化などのストラテジを作成できます。run が終了すると、インプリメンテーション run の結果が表示され、レポート ファイルが使用可能になります。

複数のインプリメンテーション run を同時に、または 1 つずつ順に実行できます。Linux システムでは、リモート サーバーを使用できます。制約セットを作成して、さまざまなタイミング制約、物理制約、代替デバイスを設定して試すことができます。詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) および 『Vivado Design Suite ユーザー ガイド: 制約の使用』 (UG903) を参照してください。

ヒント: tcl.pre および tcl.post ファイルを使用すると、合成、インプリメンテーション、ビットストリーム生成の前後に実行する Tcl スクリプトを追加できます。詳細は、 『Vivado Design Suite ユーザー ガイド: Tcl スクリプト機能の使用』 (UG894) を参照してください。
注記: 同じコンピューターで同時に複数のジョブを起動すると、メモリが不足する可能性があり、Vivado がランダムにクラッシュします。1 つのコンピューターで実行しているすべてのジョブに対し、十分なメモリを予約するようにしてください。