デザイン チェックポイントの使用 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

デザイン チェックポイントを使用すると、デザインの現在の状態のスナップショットを保存できます。現在のネットリスト、制約、およびインプリメンテーション結果が、デザイン チェックポイントに保存されます。デザイン チェックポイントを使用すると、次を実行できます。

  • 必要に応じてデザインを復元
  • デザイン解析を実行
  • 制約を定義
  • デザイン フローに従って処理

デザイン チェックポイントは、フローの異なる段階で書き出すことができます。重要なデザイン ステップの実行後は、デザイン解析および制約の定義のためにデザイン チェックポイントを書き出しておきます。デザイン チェックポイントを読み込むとデザインを復元できるので、問題をデバッグする際に便利です。デザイン チェックポイントは、デザインを現在のインプリメンテーション状態で完全に保存したものです。残りのデザイン フローを Tcl コマンドを使用して実行することはできますが、新規ソースをデザインに追加することはできません。

注記: Tcl コマンドの write_checkpoint <file_name>.dcp および read_checkpoint <file_name>.dcp を使用してもデザイン チェックポイントを書き出しおよび読み込みを実行できます。Vivado IDE でチェックポイントを表示するには、Tcl コマンドの open_checkpoint <file_name>.dcp を使用します。詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照してください。